caratteri piccoli caratteri medi caratteri grandi
[m] mappa del sito | [a] navigazione facilitata
 » Home »  Segreteria  »  Information technology e organizzazione  »  Ottimizzazione architettura informatica  » L'architettura dell'Athlon
+++ L'architettura dell'Athlon
Elettronica ed evoluzione dei Processori x86
L' Athlon include 3 decoders per istruzione x86. Questi decoders traducono le istruzioni x86 in macro operations (MacroOPs) a lunghezza fissa per un pił alto rendimento nell'esecuzione dell'elaborazione. Invece di eseguire direttamente le istruzioni
Diagramma a blocchi dell'AMD K7 3725

L' Athlon include 3 decoders per istruzione x86. Questi decoders traducono le istruzioni x86 in macro operations (MacroOPs) a lunghezza fissa per un più alto rendimento nell'esecuzione dell'elaborazione. Invece di eseguire direttamente le istruzioni x86 che hanno lunghezza da 1 a 15 bytes, l'Athlon esegue le MacroOPs RISC-Like migliorando di molto le prestazioni delle altre unità di elaborazione ed ottimizzazione.

Una volta che le MacroOPs sono decodificate, fino a 3 MacroOPs sono inviate all' ICU, per ogni ciclo di clock. L'ICU è un Buffer Reorder per MacroOPs a 72 entry che gestisce lo smistamento delle istruzioni, esegue la rinominazione del registro per gli operandi, e gestisce tutti gli stati d'eccezione e le operazioni di ritiro. L' ICU invia le MacroOPs agli Schedulers delle numerose unità di esecuzione multiple presenti nel K7.

L' Athlon contiene uno scheduler a 18 entry per le istruzioni sui numeri interi e uno scheduler a 36 entry per l'FPU/3DNow. Questi schedulers distribuiscono le MacroOPs alle nove pipeline di esecuzione indipendenti:
3 per i calcoli sugli interi
3 per il calcolo degli indirizzi
3 per l'esecuzione delle Mmx, 3dnow! e istruzioni floating point x87

L' Athlon offre il più potente e avanzato motore di floating point per piattaforma x86. L'FPU dell' Athlon è basata su 3 unità di esecuzione completamente pipelined (contro le due unità del PIII). Queste 3 unità di esecuzione (FMUL, FAD e FSTORE) eseguono tutte le istruzioni x87, Mmx, Enhaced 3dnow.

I primi Athlon furono costruiti con tecnologia a 0.25 micron e interconnessioni in Alluminio ed erano posti in una cartuccia tipo Pentium II e inseriti in uno slot chiamato Slot A, simile concettualmente allo Slot I di Intel. Nella cartuccia era presente una L2 cache di 512KB funzionante tipicamente ad 1/2 o 1/3 della frequenza del core.

Qui di seguito trovate lo schema esplicativo del core di tutta la famiglia K7:

Fonte: Lithium
  torna alla pagina iniziale home page
Segreteria
Sottocategorie di Information technology e organizzazione
icona categoria Amministrazione rete
icona categoria Apple
icona categoria Open Source
icona categoria Ottimizzazione architettura informatica


Schede Correlate
AMD K5 - La risposta al Pentium
AMD K6 - Il primo processore AMD di 6° generazione
AMD K6-II - Il secondo processore AMD di 6° generazione
AMD K6-III - L'ultimo esponente della famiglia K6
AMD K7 - 7° generazione ?
AMD K7 - Thunderbird e Palomino
Come ragiona un processore?
Cpu, Alu ed Fpu
Generazione quarta: l’80486 e la cache integrata
La memoria cache
La storia dei processori x86 targati AMD
Pentium Mmx e le istruzioni multimediali
Pentium Pro, Pentium II e Pentium III, la sesta generazione
Processori x86 di prima generazione
Processori x86 di seconda generazione
Processori x86 di terza generazione
Quinta generazione: la rivoluzione Pentium
Storia ed evoluzione dei Processori x86


Links esterni al sito
Home page di Lithium


Galleria Immagini
Diagramma a blocchi dell'AMD K7 3725    

Argomenti della Scheda
microprocessori
ottimizzazione architettura informatica
[c]



valid w3c document  |  valid css

esecuzione in 4,492 sec.